作业帮 > 综合 > 作业

Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOG

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/15 19:02:14
Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOGIC" is used but not declared

如题,这是一个利用计数器控制多路选择器来实现时分复用的程序,

到底哪里出问题了啊?求大神指导


在描述中,不要将std_logic_vector类型与bit_vector类型混用.将程序包traffic_package中的bit_vector类型改为std_logic_vector类型,将结构体behav中的signal current_state也改为std_logic_vector类型.而且变量也不能在结构体中声明.