作业帮 > 综合 > 作业

VHDL问题:can't determine definition of operator ""/""--found 0

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/12 13:11:48
VHDL问题:can't determine definition of operator ""/""--found 0 possible
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity frequency is
port(clk:in std_logic;
 frenow:out std_logic_vector(32 downto 0));
end frequency;
architecture BEHAVIORAL of frequency is
begin
process(clk)
variable e:real;
begin
e:=85899*50000000/4294967296;
frenow
process(clk)
variable e:integer;
begin
e:=85899*50000000/4294967296;
frenow