作业帮 > 综合 > 作业

eda中vhdl 开头的LIBRARY ieee和USE ieee.std_logic_1164.

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/21 20:09:56
eda中vhdl 开头的LIBRARY ieee和USE ieee.std_logic_1164.
eda中vhdl 开头的LIBRARY ieee和USE ieee.std_logic_1164.all;
比如开头是这样的
LIBRARY ieee;
USE ieee.std_logic_1164.all;
我的理解是 使用 元件库 ieee.std_logic_1164.all
LIBRARY IEEE表示打开IEEE库,因为IEEE库不属于VHDL的标准库,所以使用库的内容要先声明;USE和ALL是关键词,第二局表示允许使用IEEE库中STD_LOGIC_1164程序包中的所有内容.