作业帮 > 综合 > 作业

急:vhdl语言编的移位寄存器编译出现错误

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/14 14:16:35
急:vhdl语言编的移位寄存器编译出现错误
程序如下:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.cpu_lib.all;
entity shift is
port ( a :in bit16; sel :in t_shift ; y :out bit16);
end shift;
architecture rtl of shift is
begin
shftproc:process(a,sel)
begin
case sel is
when shftpass =>y y y y y y
你的常量前边都没有定义啊
要在前边加上
CONSTANT sftl : std_logic_vector(2 DOWNTO 0) := "000";
同样 sftr等常量也要如上定义