作业帮 > 综合 > 作业

用VHDL实现秒表功能,秒表要求实现秒表功能,要求有分、秒显示

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/04 13:08:12
用VHDL实现秒表功能,秒表要求实现秒表功能,要求有分、秒显示
用两个60进制计数器就是了.我以前做了一个24/12小时的电子钟,其counter60如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity s_counter60 is
port(clk:in std_logic;
bcd10,bcd1:buffer std_logic_vector(3 downto 0);
preset:in std_logic;
co:out std_logic);
end s_counter60;
architecture rtl of s_counter60 is
signal co_1:std_logic;
begin
process(clk,preset)
begin
if preset='0' then
bcd1