作业帮 > 综合 > 作业

如何将32768Hz的石英晶体振荡器分频为1HZ和2HZ的信号?

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/22 12:05:15
如何将32768Hz的石英晶体振荡器分频为1HZ和2HZ的信号?
用一片4060(自带振荡电路)的Q14输出2HZ,再用一片可以二分频的电路可以得到1HZ,一般具有计数功能的电路如4017,4022,4040等都可以实现.
再问: 如何使用4060 每次接上去都会显示错误 详细的说明4060每个管脚的接法
再答: CD4060秒脉冲发生器电路: 图2 CD4060秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲。如晶振为32768 Hz,通过15次二分频后可获得1Hz的脉冲输出,电路图如图2所示。 CD4060定时电路
再问: 你试过了??完全不可行……我试了好多次
再答: 当然试过,现在还在用32768HZ和4060、4040组成的15分钟定时器。你不成功可能是没起振,换种接法