作业帮 > 英语 > 作业

二位数相加全加器设计一个二位数相加的全加器

来源:学生作业帮 编辑:作业帮 分类:英语作业 时间:2024/05/20 23:02:21
二位数相加全加器
设计一个二位数相加的全加器
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY addr1 IS
//
ARCHITECTURE full OF addr8 IS
COMPONENT addr1
PORT(x,y,cin:IN STD_LOGIC;
sum,count:OUT STD_LOGIC);
End COMPONENT;
SIGNAL c:std_logic_vector(1 to 7);
begin
u0:addr1 PORT MAP (a(0),b(0),cin ,s(0),c(1));
u1:addr1 PORT MAP (a(1),b(1),c(1),s(1),c(2));
u2:addr1 PORT MAP (a(2),b(2),c(2),s(2),c(3));
u3:addr1 PORT MAP (a(3),b(3),c(3),s(3),c(4));
u4:addr1 PORT MAP (a(3),b(4),c(4),s(4),c(5));
u5:addr1 PORT MAP (a(3),b(5),c(5),s(5),c(6));
u6:addr1 PORT MAP (a(3),b(6),c(6),s(6),c(7));
u7:addr1 PORT MAP (a(3),b(7),c(7),s(7),co);
end full;
仅供参考,同理