作业帮 > 综合 > 作业

简述VHDL语言基本结构

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/16 04:43:49
简述VHDL语言基本结构
VHDL语言的基本结构
VHDL语言通常包括库说明、实体说明、结构体说明3个部分.
library ieee;
use ieee.std_logic_1164.all; --库说明
entity dff1 is
port(clk,d:in std_logic;
q:out std_logic);
end dff1; --实体说明
architecture rtl of dff1 is
begin
process(clk)
begin
if(clk'event and clk='1')then
q