作业帮 > 综合 > 作业

关于EDA课程设计交通指示灯

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/14 15:39:14
关于EDA课程设计交通指示灯
一个十字路口的交通灯控制系统 要求:工作顺序为东西方向黄灯亮50秒,后5秒绿灯亮.然后红灯亮55秒.南北方向红灯亮55秒,前50秒东西方向绿灯亮,后5秒黄灯亮.依次重复.
急求关于本设计的设计思路,由于是初学,最好详细些.
这个我以前写的,是T形路口,z1,z2,z3(c1,c2,c3)分别是主路(支路)口的红黄路灯,先是支路放行20s,再是主路放行40s,红灯到路灯中间要有5s黄灯,路灯直接到黄灯,和你需要的差不多.自己看看改改就行,电路图也很简单的去百度查查
library ieee;
use ieee.std_logic_1164.all;
entity lude is
port(clk:in bit;
z1,c1,z2,c2,z3,c3:out bit);
end entity;
architecture one of lude is
begin
process(clk)
VARIABLE TEMP1:NATURAL;
begin
if(clk'event and clk='1') then
temp1:=temp1+1;
if temp1