作业帮 > 数学 > 作业

求补码一位乘(booth乘法 比较法)计算x=11011y=-11111求x*y的详细过程

来源:学生作业帮 编辑:作业帮 分类:数学作业 时间:2024/05/14 09:04:22
求补码一位乘(booth乘法 比较法)计算x=11011y=-11111求x*y的详细过程
[X]补=10101 [Y]补=100001 [-X]补=01011
部分积 乘数 附加位 操作说明
0 0 0 0 0 0 1 0 0 0 0 1 | 0 开始情形
+0 0 1 0 1 1 判别位10,加[-X]补
----------------
0 0 1 0 1 1
0 0 0 1 0 1 1 1 0 0 0 0 | 1 右移部分积和乘数
+1 1 0 1 0 1 判别位01,加[X]补
----------------
1 1 1 0 1 0
1 1 1 1 0 1 0 1 1 0 0 0 | 0 右移部分积和乘数
1 1 1 1 1 0 1 0 1 1 0 0 | 0 判别位00,右移部分积和乘数
1 1 1 1 1 1 0 1 0 1 1 0 | 0 判别位00,右移部分积和乘数
1 1 1 1 1 1 1 0 1 0 1 1 | 0 判别位00,右移部分积和乘数
+0 0 1 0 1 1 判别位10,加[-x]补
----------------
0 0 1 0 1 0 最后一次不移位
[x*y]补=001010101011
不知道答案对不对啊.我也刚学到这里.