作业帮 > 综合 > 作业

Verilog always 和case

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/05/18 09:38:31
Verilog always 和case
always@(flag or rxd_buf_tmp)
begin
case(flag)
1'b0:begin seg_data
错误很明显.
你的描述里面有三个信号都有不完全描述,
flag为0和default时,没有对waveout和amout赋值,
flag为1时没有对seg_data赋值.
你是有意生成锁存器的吗?
建议:组合逻辑用阻塞赋值,很多时候很有用的.